Edit/MEdit control
[Standard controls]

Modules

Defines


Define Documentation

#define CTRL_BIDISLEDIT   ("bidisledit")

The class name of BIDI single-line editor box.

This edit control uses the system logical font for control, which may be variable-width font.

Definition at line 72 of file edit.h.

#define CTRL_EDIT   ("edit")

The class name of simple single-line editor box.

This edit control uses the system default fixed logical font.

Definition at line 54 of file edit.h.

#define CTRL_MEDIT   ("medit")

Another class name of multiple-line editor box.

This edit control uses the system logical font for control, which may be variable-width font.

Definition at line 90 of file edit.h.

#define CTRL_MLEDIT   ("mledit")

The class name of multiple-line editor box.

This edit control uses the system logical font for control, which may be variable-width font.

Definition at line 81 of file edit.h.

#define CTRL_SLEDIT   ("sledit")

The class name of single-line editor box.

This edit control uses the system logical font for control, which may be variable-width font.

Definition at line 63 of file edit.h.

#define EC_LEFTMARGIN   0x0001

Value of wParam. Specifies the left margins to set.

Definition at line 1275 of file edit.h.

#define EC_RIGHTMARGIN   0x0002

Value of wParam. Specifies the right margins to set.

Definition at line 1280 of file edit.h.

#define EC_USEFONTINFO   0xffff

Value of wParam. Specifies the user font info to set.

Definition at line 1285 of file edit.h.

#define EIMES_CANCELCOMPSTRINFOCUS   0x0002

lParam for EMSIS_COMPOSITIONSTRING(reserved).

Definition at line 1304 of file edit.h.

#define EIMES_COMPLETECOMPSTRKILLFOCUS   0x0004

lParam for EMSIS_COMPOSITIONSTRING(reserved).

Definition at line 1309 of file edit.h.

#define EIMES_GETCOMPSTRATONCE   0x0001

lParam for EMSIS_COMPOSITIONSTRING(reserved).

Definition at line 1299 of file edit.h.

#define EMSIS_COMPOSITIONSTRING   0x0001

Indicates the type of status to retrieve.

Definition at line 1292 of file edit.h.

Generated on Thu Apr 7 15:58:35 2011 for MiniGUI V3.0.12 API Reference by  doxygen 1.6.3